Как узнать какого поколения процессор интел. От Sandy Bridge до Coffee Lake: сравниваем семь поколений Intel Core i7


Немногим больше 8 лет назад Стив Джобс представил Macbook Air - устройство, которое открыло новый класс портативных ноутбуков - ультрабуков. С тех пор различных ультрабуков вышло множество, однако у всех была одна общая черта - низковольтные процессоры с тепловыделением (TDP) в 15-17 Ватт. Однако в 2015 году, с переходом на 14 нм техпроцесс, Intel решили пойти еще дальше, и представили линейку процессоров Core m, которые имеют TDP всего 4-5 Вт, однако должны быть сильно мощнее линейки Intel Atom с аналогичным TDP. Основная особенность новых процессоров - они могут охлаждаться пассивно, то есть из устройства можно убрать кулер. Но увы - убирание кулера принесло достаточно много новых проблем, о которых и поговорим ниже.

Сравнение с ближайшими конкурентами

И хотя уже вышли процессоры на Kaby Lake, их тестов пока еще нет, так что ограничимся предыдущей линейкой, Skylake - с технической точки зрения разница между ними невелика. Для сравнения возьмем три процессора - Intel Atom x7-Z8700, как один из самых мощных представителей линейки Atom, Intel Core m3-6Y30 - самый слабый Core m (в дальнейшем объясню, почему не стоит брать более мощные), и Intel Core i3-6100U - популярный представитель самой слабой линейки «полноценных» низковольтных процессоров:

Получается интересная картина - с физической точки зрения Core m3 и i3 абсолютно одинаковы, различаются лишь максимальные частоты графики и процессора, при этом теплопакет различается втрое, чего в общем-то быть не может. Atom имеет тот же TDP, что и Core m3, сравнимые частоты, но 4 физических ядра. При этом ядер хоть и больше, но они сильно урезаны по возможностям для уменьшения тепловыделения: к примеру, i5-6300HQ с 4 «полноценными» физическими ядрами с такими же частотами имеет TDP на порядок выше - 45 Вт. Поэтому будет интересно сравнить возможности урезанной и полноценной архитектур при одинаковом тепловыделении.

Тесты процессоров

Как уже выяснили выше, m3 является по сути i3, зажатым втрое меньший теплопакет. Казалось бы, разница в производительности должна быть как минимум двукратной, однако здесь есть несколько нюансов: во-первых, Intel позволяет Core m не обращать внимание на TDP, пока его температура не достигнет определенной отметки. Это очень хорошо видно при многократном прогоне бенчмарка Cinebench R15:

Как видно первые 4 прогона теста процессор набирал порядка 215 очков, а потом результаты стабилизировались на 185, то есть потеря производительности из-за такого «мухлежа» Intel составила порядка 15%. Поэтому брать более мощные Сore m5 и m7 не имеет никакого смысла - после 10 минут нагрузки они снизят производительность до уровня Core m3. А вот результат i3-6100U, рабочая частота которого всего на 100 мгц выше, чем у m3-6Y30, гораздо лучше - 250 очков:

То есть при нагрузке только на процессор разница в производительности между m3 и i3 оказывается 35% - достаточно существенный результат. А вот Atom показал себя с лучшей стороны - хоть ядра и урезаны, но вдвое большее их количество дало возможность процессору набрать 140 очков. Да, результат все еще на 25% хуже, чем у Core m3, однако не забываем про восьмикратную разницу в цене между ними.

Второй нюанс - теплопакет рассчитан и на видеокарту, и на процессор одновременно, поэтому посмотрим на результаты теста 3Dmark 11 Performance: это тест, рассчитанный на ПК среднего уровня (которым и принадлежат наши системы), тестирующий одновременно и процессор, и видеокарту. И тут итоговая разница оказывается такой же, Core m3 оказывается на 30% хуже i3 (потому что Core i3 тоже перестает хватать теплопакета - для работы на максимальных частотах ему нужно порядка 20 ватт):
Intel Core m3-6Y30:


Intel Core i3-6100U:

А вот Intel Atom проваливается с треском - результат в 4-5 раз хуже, чем у m3 и i3:

И это, в принципе, ожидаемо - Cinebench тестирует голую математическую производительность процессора и хорошо подходит лишь для сравнения процессоров одной архитектуры, а вот 3Dmark дает разностороннюю нагрузку, гораздо более приближенную к реальной жизни. Однако все еще восьмикратная разница в цене позволяет Atom держаться на плаву.

Энергопотребление

Как видно из тестов выше, трехкратная разница в TDP дает прирост производительности около 35%. Однако это верно только под большой нагрузкой, которая для ультрабуков достаточно редка. Для удобства возьмем два макбука, 12" и 13" 2016 - macOS на разных устройствах оптимизирована одинаково хорошо, и это позволит узнать разницу в энергопотреблении устройств без привязки к операционной системе (да, ниже тестируется энергопотребление всей системы, однако существенный вклад в него дают только экраны и процессоры, и так как первые очень похожи, то весомый вклад в разницу энергопотребления дают только процессоры). И тут разница оказывается... всего полтора ватта в среднем, 7.2 и 8.9 Вт (причем в 13" Macbook стоит процессор мощнее i3-6100U):


Что это означает? Это означает то, что при обычной нагрузке оба процессора потребляют всего несколько ватт, и до ограничения по TDP у Core m дело не доходит. Intel Atom показывает сравнимое с Core m3 энергопотребление (для примера взят Microsoft Surface 3, который хорошо оптимизирован для работы с Windows):

Выводы

Что же получается в итоге? Intel Atom - хороший выбор для недорогого планшета или нетбука, на котором ничего тяжелее 1080р60 с YouTube никто запускать не будет. Процессор дешев, и за это ему можно простить разницу в производительности с линейками Core. Intel Core m - хороший выбор для производительного планшета или простого ультрабука. Из-за отсутствия кулера такое устройство будет абсолютно бесшумным, и в обычных задачах ничуть не медленнее более мощных собратьев на Core i. Однако брать его для обработки фото или видео, а уж тем более игр, явно не стоит - производительность быстро упирается в низкий TDP и достаточно сильно снижается даже в сравнении с простым i3. Ну а линейка Core i - хороший выбор для производительного ультрабука. При наличии в системе хотя бы простой дискретной графики такое устройство оказывается на уровне игровых ноутбуков 5летней давности, и позволяет без проблем заниматься как обработкой фото и нетяжелого видео, как и дает возможность поиграть в массовые игры даже не на самых минимальных настройках графики. Однако любая нагрузка выше средней будет приводить к ощутимому шуму небольшого высокооборотистого кулера, что может раздражать любителей работать ночью в тишине.

2 июня компания Intel анонсировала десять новых 14-нанометровых процессоров для настольных и мобильных ПК семейства Intel Core пятого поколения (кодовое наименование Broadwell-С) и пять новых 14-нанометровых процессоров семейства Intel Xeon E3-1200 v4.

Из десяти новых процессоров Intel Core пятого поколения (Broadwell-С) для настольных и мобильных ПК только два процессора ориентированы на настольные ПК и имеют разъем LGA 1150: это четырехъядерные модели Intel Core i7-5775C и Core i5-5675C. Все остальные процессоры Intel Core пятого поколения имеют BGA-исполнение и ориентированы на ноутбуки. Краткие характеристики новых процессоров Broadwell-С представлены в таблице.

Разъем Количество ядер/потоков Размер кэша L3, МБ TDP, Вт Графическое ядро
Core i7-5950HQ BGA 4/8 6 2,9/3,7 47 Iris Pro Graphics 6200
Core i7-5850HQ BGA 4/8 6 2,7/3,6 47 Iris Pro Graphics 6200
Core i7-5750HQ BGA 4/8 6 2,5/3,4 47 Iris Pro Graphics 6200
Core i7-5700HQ BGA 4/8 6 2,7/3,5 47 Intel HD Graphics 5600
Core i5-5350H BGA 2/4 4 3,1/3,5 47 Iris Pro Graphics 6200
Core i7-5775R BGA 4/8 6 3,3/3,8 65 Iris Pro Graphics 6200
Core i5-5675R BGA 4/4 4 3,1/3,6 65 Iris Pro Graphics 6200
Core i5-5575R BGA 4/4 4 2,8/3,3 65 Iris Pro Graphics 6200
Core i7-5775C LGA 1150 4/8 6 3,3/3,7 65 Iris Pro Graphics 6200
Core i5-5675C LGA 1150 4/4 4 3,1/3,6 65 Iris Pro Graphics 6200

Из пяти новых процессоров семейства Intel Xeon E3-1200 v4 только три модели (Xeon E3-1285 v4, Xeon E3-1285L v4, Xeon E3-1265L v4) имеют разъем LGA 1150, а еще две модели выполнены в BGA корпусе и не предназначены для самостоятельной установки на материнскую плату. Краткие характеристики новых процессоров семейства Intel Xeon E3-1200 v4 представлены в таблице.

Разъем Количество ядер/потоков Размер кэша L3, МБ Частота номинальная /максимальная, ГГц TDP, Вт Графическое ядро
Xeon E3-1285 v4 LGA 1150 4/8 6 3,5/3,8 95 Iris Pro Graphics P6300
Xeon E3-1285L v4 LGA 1150 4/8 6 3,4/3,8 65 Iris Pro Graphics P6300
Xeon E3-1265L v4 LGA 1150 4/8 6 2,3/3,3 35 Iris Pro Graphics P6300
Xeon E3-1278L v4 BGA 4/8 6 2,0/3,3 47 Iris Pro Graphics P6300
Xeon E3-1258L v4 BGA 2/4 6 1,8/3,2 47 Intel HD Graphics P5700

Таким образом, из 15 новых процессоров Intel лишь пять моделей имеют разъем LGA 1150 и ориентированы на настольные системы. Для пользователей выбор, конечно, небольшой, особенно если учесть, что процессоры семейства Intel Xeon E3-1200 v4 ориентированы на серверы, а не на пользовательские ПК.

В дальнейшем мы сосредоточимся на рассмотрении новых 14-нанометровых процессоров с разъемом LGA 1150.

Итак, основными особенностями новых процессоров Intel Core пятого поколения и процессоров семейства Intel Xeon E3-1200 v4 является новая 14-нанометровая микроархитектура ядер с кодовым названием Broadwell. В принципе, никакого принципиального отличия между процессорами семейства Intel Xeon E3-1200 v4 и процессорами Intel Core пятого поколения для настольных систем нет, поэтому в дальнейшем все эти процессоры мы будем обозначать как Broadwell.

Вообще, нужно отметить, что микроархитектура Broadwell - это не просто Haswell в 14-нанометровом исполнении. Скорее, это немного улучшенная микроархитектура Haswell. Впрочем, Intel так делает всегда: при переходе на новый техпроцесс производства вносятся и изменения в саму микроархитектуру. В случае с Broadwell речь идет о косметических улучшениях. В частности, увеличены объемы внутренних буферов, есть изменения в исполнительных блоках ядра процессора (изменена схема выполнения операций умножения и деления чисел с плавающей запятой).

Подробно рассматривать все особенности микроархитектуры Broadwell мы не будем (это тема для отдельной статьи), но еще раз подчеркнем, что речь идет лишь о косметических изменениях микроархитектуры Haswell, а потому, не стоит ожидать, что процессоры Broadwell окажутся более производительными, чем процессоры Haswell. Конечно, переход на новый техпроцесс позволил снизить энергопотребление процессоров (при равной тактовой частоте), но никаких существенных приростов производительности ожидать не стоит.

Пожалуй, наиболее существенное отличие новых процессоров Broadwell от Haswell заключается в кэше четвертого уровня (L4-кэш) Crystalwell. Уточним, что такой кэш L4 присутствовал в процессорах Haswell, но лишь в топовых моделях мобильных процессоров, а в процессорах Haswell для настольных ПК c разъемом LGA 1150 его не было.

Напомним, что в некоторых топовых моделях мобильных процессоров Haswell было реализовано графическое ядро Iris Pro с дополнительной памятью eDRAM (embedded DRAM), что позволяло решить проблему с недостаточной пропускной способностью памяти, используемой для GPU. Память eDRAM, представляла собой отдельный кристалл, который располагался на одной подложке с кристаллом процессора. Этот кристалл получил кодовое наименование Crystalwell.

Память eDRAM имела размер 128 МБ и изготовлялась по 22-нанометровому техпроцессу. Но самое главное, что эта eDRAM память использовалась не только для нужд GPU, но и для вычислительных ядер самого процессора. То есть фактически, Crystalwell представлял собой L4-кэш, разделяемый между GPU и вычислительными ядрами процессора.

Во всех новых процессорах Broadwell также присутствует отдельный кристалл памяти eDRAM размером 128 МБ, который выступает в роли кэша L4 и может использоваться графическим ядром и вычислительными ядрами процессора. Причем, отметим, что память eDRAM в 14-нанометровых процессорах Broadwell точно такая же, как и в топовых мобильных процессорах Haswell, то есть выполняется по 22-нанометровому техпроцессу.

Следующая особенность новых процессоров Broadwell заключается в новом графическом ядре с кодовым наименованием Broadwell GT3e. В варианте процессоров для настольных и мобильных ПК (Intel Core i5/i7) - это Iris Pro Graphics 6200, а в процессорах семейства Intel Xeon E3-1200 v4 - это Iris Pro Graphics P6300 (за исключением модели Xeon E3-1258L v4). Углубляться в особенности архитектуры графических ядер Broadwell GT3e мы не станем (это тема для отдельной статьи) и лишь вкратце рассмотрим его основные особенности.

Напомним, что графическое ядро Iris Pro до этого присутствовало лишь в мобильных процессорах Haswell (Iris Pro Graphics 5100 и 5200). Причем, в графических ядрах Iris Pro Graphics 5100 и 5200 присутствует по 40 исполнительных устройств (EU). Новые графические ядра Iris Pro Graphics 6200 и Iris Pro Graphics P6300 наделены уже 48 EU, причем изменилась и система организации EU. Каждый отдельный блок графического процессора содержит по 8 EU, а графический модуль объединяет по три графических блока. То есть в одном графическом модуле содержится 24 EU, а в самом графическом процессоре Iris Pro Graphics 6200 или Iris Pro Graphics P6300 объединяются по два модуля, то есть в сумме получаем 48 EU.

Что касается разницы между графическими ядрами Iris Pro Graphics 6200 и Iris Pro Graphics P6300, то на уровне «железа» это одно и то же (Broadwell GT3e), а вот драйвера у них разные. В варианте Iris Pro Graphics P6300 драйвера оптимизированы под задачи, специфические для серверов и графических станций.

Прежде чем переходить к детальному рассмотрению результатов тестирования Broadwell, расскажем еще о нескольких особенностях новых процессоров.

Прежде всего, новые процессоры Broadwell (включая и Xeon E3-1200 v4) совместимы с материнскими платами на базе чипсетов Intel 9-серии. Мы не можем утверждать, что любая плата на базе чипсета Intel 9-серии будет поддерживать эти новые процессоры Broadwell, но большинство плат их поддерживают. Правда, для этого придется обновить BIOS на плате, причем BIOS должна поддерживать новые процессоры. К примеру, для тестирования мы использовали плату ASRock Z97 OC Formula и без обновления BIOS система работала только при наличии дискретной видеокарты, а вывод изображения через графическое ядро процессоров Broadwell был невозможен.

Следующая особенность новых процессоров Broadwell в том, что модели Core i7-5775C и Core i5-5675С имеют разблокированный коэффициент умножения, то есть ориентированы на разгон. В семействе процессоров Haswell такие процессоры с разблокированным коэффициентом умножения составляли K-серию, а в семействе Broadwell вместо буквы «К» используется буква «C». А вот процессоры Xeon E3-1200 v4 разгон не поддерживают (у них невозможно увеличить коэффициент умножения).

Теперь познакомимся поближе с теми процессорами, которые попали к нам на тестирование. Это модели , и . Фактически, из пяти новых моделей с разъемом LGA 1150 не хватает лишь процессора Xeon E3-1285L v4, который отличается от модели Xeon E3-1285 v4 лишь более низким энергопотреблением (65 Вт вместо 95 Вт) и тем, что номинальная тактовая частота ядер у него чуть ниже (3,4 ГГц вместо 3,5 ГГц). Кроме того, для сравнения мы добавили также Intel Core i7-4790K, который является топовым процессором в семействе Haswell.

Характеристики всех протестированных процессоров представлены в таблице:

Xeon E3-1285 v4 Xeon E3-1265L v4 Core i7-5775C Core i5-5675С Core i7-4790K
Техпроцесс, нм 14 14 14 14 22
Разъем LGA 1150 LGA 1150 LGA 1150 LGA 1150 LGA 1150
Количество ядер 4 4 4 4 4
Количество потоков 8 8 8 4 8
Кэш L3, МБ 6 6 6 4 8
Кэш L4 (eDRAM), МБ 128 128 128 128 N/A
Номинальная частота, ГГц 3,5 2,3 3,3 3,1 4,0
Максимальная частота, ГГц 3,8 3,3 3,7 3,6 4,4
TDP, Вт 95 35 65 65 88
Тип памяти DDR3-1333/1600/1866 DDR3 -1333/1600
Графическое ядро Iris Pro Graphics P6300 Iris Pro Graphics P6300 Iris Pro Graphics 6200 Iris Pro Graphics 6200 HD Graphics 4600
Количество исполнительных блоков GPU 48 (Broadwell GT3e) 48 (Broadwell GT3e) 48 (Broadwell GT3e) 48 (Broadwell GT3e) 20 (Haswell GT2)
Номинальная частота графического процессора, МГц 300 300 300 300 350
Максимальная частота графического процессора, ГГц 1,15 1,05 1,15 1,1 1,25
Технология vPro + +
Технология VT-x + + + + +
Технология VT-d + + + + +
Стоимость, $ 556 417 366 276 339

А теперь, после нашего экспресс-обзора новых процессоров Broadwell, перейдем непосредственно к тестированию новинок.

Тестовый стенд

Для тестирования процессоров мы использовали стенд следующей конфигурации:

Методика тестирования

Тестирование процессоров проводилось с использованием наших скриптовых бенчмарков , и . Если точнее, то за основу мы взяли методику тестирования рабочих станций, но расширили ее, дополнив тестами из пакета iXBT Application Benchmark 2015 и игровыми тестами iXBT Game Benchmark 2015.

Таким образом, для тестирования процессоров использовались следующие приложения и бенчмарки:

  • MediaCoder x64 0.8.33.5680
  • SVPmark 3.0
  • Adobe Premiere Pro CC 2014.1 (Build 8.1.0)
  • Adobe After Effects CC 2014.1.1 (Version 13.1.1.3)
  • Photodex ProShow Producer 6.0.3410
  • Adobe Photoshop CC 2014.2.1
  • ACDSee Pro 8
  • Adobe Illustrator CC 2014.1.1
  • Adobe Audition CC 2014.2
  • Abbyy FineReader 12
  • WinRAR 5.11
  • Dassault SolidWorks 2014 SP3 (пакет Flow Simulation)
  • SPECapc for 3ds max 2015
  • SPECapc for Maya 2012
  • POV-Ray 3.7
  • Maxon Cinebench R15
  • SPECviewperf v.12.0.2
  • SPECwpc 1.2

Кроме того, для тестирования использовались игры и игровые бенчмарки из пакета iXBT Game Benchmark 2015. Тестирование в играх производилось при разрешении 1920х1080.

Дополнительно мы измерили энергопотребление процессоров в режиме простоя и стрессовой загрузки. Для этого использовался специализированный программно-аппаратный комплекс, подключаемый в разрыв цепей питания системной платы, то есть между блоком питания и системной платой.

Для создания стрессовой загрузки процессора мы использовали утилиту AIDA64 (тесты Stress FPU и Stress GPU).

Результаты тестирования

Энергопотребление процессоров

Итак, начнем с результатов тестирования процессоров на энергопотребление. Результаты тестирования представлены на диаграмме.

Самым прожорливым в плане энергопотребления, как и следовало ожидать, оказался процессор Intel Core i7-4790K с заявленным TDP 88 Вт. Его реальное энергопотребление в режиме стрессовой загрузки составило 119 Вт. При этом, температура ядер процессора составляла 95 °C и наблюдался троттлинг.

Следующим по энергопотреблению был процессор Intel Core i7-5775C с заявленным TDP 65 Вт. Для этого процессора энергопотребление в режиме стрессовой загрузки составило 72,5 Вт. Температура ядер процессора достигала 90 °C, но троттлинг не наблюдался.

Третье месте по энергопотреблению занял процессор Intel Xeon E3-1285 v4 c TDP 95 Вт. Его энергопотребление в режиме стрессовой загрузки составило 71 Вт, а температура ядер процессора составляла 78 °C

А самым экономичным в плане энергопотребления оказался процессор Intel Xeon E3-1265L v4 c TDP 35 Вт. В режиме стрессовой загрузки энергопотребление этого процессора не превосходило 39 Вт, а температура ядер процессора составляла всего 56 °C.

Что ж, если ориентироваться на энергопотребление процессоров, то нужно констатировать, что Broadwell имеет существенно более низкое энергопотребление в сравнении с Haswell.

Тесты из пакета iXBT Application Benchmark 2015

Начнем с тестов, входящих в состав бенчмарка iXBT Application Benchmark 2015. Отметим, что интегральный результат производительности мы рассчитывали как среднее геометрическое результатов в логических группах тестов (видеоконвертирование и видеообработка, создание видеоконтента и т. д.). Для расчета результатов в логических группах тестов использовалась та же самая референсная система, что и в бенчмарке iXBT Application Benchmark 2015.

Полные результаты тестирование приведены в таблице. Кроме того, мы приводим результаты тестирования по логическим группам тестов на диаграммах в нормированном виде. За референсный принимается результат процессора Core i7-4790K.

Логическая группа тестов Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
Видеоконвертирование и видеообработка, баллы 364,3 316,7 272,6 280,5 314,0
MediaCoder x64 0.8.33.5680, секунды 125,4 144,8 170,7 155,4 132,3
SVPmark 3.0, баллы 3349,6 2924,6 2552,7 2462,2 2627,3
Создание видеоконтента, баллы 302,6 264,4 273,3 264,5 290,9
Adobe Premiere Pro CC 2014.1, секунды 503,0 579,0 634,6 612,0 556,9
Adobe After Effects CC 2014.1.1 (Test #1), секунды 666,8 768,0 802,0 758,8 695,3
Adobe After Effects CC 2014.1.1 (Test #2), секунды 330,0 372,2 327,3 372,4 342,0
Photodex ProShow Producer 6.0.3410, секунды 436,2 500,4 435,1 477,7 426,7
Обработка цифровых фотографий, баллы 295,2 258,5 254,1 288,1 287.0
Adobe Photoshop CC 2014.2.1, секунды 677,5 770,9 789,4 695,4 765,0
ACDSee Pro 8, секунды 289,1 331,4 334,8 295,8 271,0
Векторная графика, баллы 150,6 130,7 140,6 147,2 177,7
Adobe Illustrator CC 2014.1.1, секунды 341,9 394,0 366,3 349,9 289,8
Аудиообработка, баллы 231,3 203,7 202,3 228,2 260,9
Adobe Audition CC 2014.2, секунды 452,6 514,0 517,6 458,8 401,3
Распознавание текста, баллы 302,4 263,6 205,8 269,9 310,6
Abbyy FineReader 12, секунды 181,4 208,1 266,6 203,3 176,6
Архивирование и разархивирование данных, баллы 228,4 203,0 178,6 220,7 228,9
WinRAR 5.11 архивирование, секунды 105,6 120,7 154,8 112,6 110,5
WinRAR 5.11 разархивирование, секунды 7,3 8,1 8,29 7,4 7,0
Интегральный результат производительности, баллы 259,1 226,8 212,8 237,6 262,7

Итак, как видно по результатам тестирования, по интегральной производительности процессор Intel Xeon E3-1285 v4 практически не отличается от процессора Intel Core i7-4790K. Однако, это интегральный результат по совокупности всех используемых в бенчмарке приложений.

Тем не менее, есть ряд приложений, в которых преимущество на стороне процессора Intel Xeon E3-1285 v4. Это такие приложения, как MediaCoder x64 0.8.33.5680 и SVPmark 3.0 (видеоконвертирование и видеообработка), Adobe Premiere Pro CC 2014.1 и Adobe After Effects CC 2014.1.1 (создание видеоконтента), Adobe Photoshop CC 2014.2.1 и ACDSee Pro 8 (обработка цифровых фотографий). В этих приложениях более высокая тактовая частота процессора Intel Core i7-4790K не дает ему преимущества над процессором Intel Xeon E3-1285 v4.



А вот в таких приложениях, как Adobe Illustrator CC 2014.1.1 (векторная графика), Adobe Audition CC 2014.2 (аудиообработка), Abbyy FineReader 12 (распознавание текста) преимущество оказывается на стороне более высокочастотного процессора Intel Xeon E3-1285 v4. Тут интересно отметить, тесты на основе приложений Adobe Illustrator CC 2014.1.1 и Adobe Audition CC 2014.2 в меньшей степени (в сравнении с другими приложениями) загружают ядра процессора.



И конечно же, есть тесты, в которых процессоры Intel Xeon E3-1285 v4 и Intel Core i7-4790K демонстрируют одинаковую производительность. Например, это тест на основе приложения WinRAR 5.11.


Вообще, нужно отметить, что процессор Intel Core i7-4790K демонстрирует более высокую производительность (в сравнении с процессором Intel Xeon E3-1285 v4) именно в тех приложениях, в которых задействуются не все ядра процессора или загрузка ядер оказывается не полной. В то же время в тестах, где загружены на 100% все ядра процессора, лидерство на стороне процессора Intel Xeon E3-1285 v4.

Расчеты в приложении Dassault SolidWorks 2014 SP3 (Flow Simulation)

Тест на основе приложения Dassault SolidWorks 2014 SP3 с дополнительным пакетом Flow Simulation мы вынесли отдельно, поскольку в этом тесте не используется референсная система, как в тестах бенчмарка iXBT Application Benchmark 2015.

Напомним, что в данном тесте речь идет о гидро/аэродинамических и тепловых расчетах. Всего рассчитывается шесть различных моделей, а результатами каждого подтеста является время расчета в секундах.

Подробные результаты тестирования представлены в таблице.

Тест Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
conjugate heat transfer, секунды 353.7 402.0 382.3 328.7 415.7
textile machine, секунды 399.3 449.3 441.0 415.0 510.0
rotating impeller, секунды 247.0 278.7 271.3 246.3 318.7
cpu cooler, секунды 710.3 795.3 784.7 678.7 814.3
halogen floodlight, секунды 322.3 373.3 352.7 331.3 366.3
electronic components, секунды 510.0 583.7 559.3 448.7 602.0
Суммарное время расчета, секунды 2542,7 2882,3 2791,3 2448,7 3027,0

Кроме того, мы также приводим нормированный результат скорости расчета (величина, обратная суммарному времени расчета). За референсный принимается результат процессора Core i7-4790K.

Как видно по результатам тестирования, в этих специфических расчетах лидерство на стороне процессоров Broadwell. Все четыре процессора Broadwell демонстрируют более высокую скорость расчета в сравнении с процессором Core i7-4790K. По всей видимости, в этих специфических расчетах сказываются те улучшения исполнительных блоков, которые были реализованы в микроархитектуре Broadwell.

SPECapc for 3ds max 2015

Далее рассмотрим результаты теста SPECapc for 3ds max 2015 для приложения Autodesk 3ds max 2015 SP1. Подробные результаты этого теста представлены в таблице, а нормированные результаты для CPU Composite Score и GPU Composite Score - на диаграммах. За референсный принимается результат процессора Core i7-4790K.

Тест Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
CPU Composite Score 4,52 3,97 4,09 4,51 4,54
GPU Composite Score 2,36 2,16 2,35 2,37 1,39
Large Model Composite Score 1,75 1,59 1,68 1,73 1,21
Large Model CPU 2,62 2,32 2,50 2,56 2,79
Large Model GPU 1,17 1,08 1,13 1,17 0,52
Interacive Graphics 2,45 2,22 2,49 2,46 1,61
Advanced Visual Styles 2,29 2,08 2,23 2,25 1,19
Modeling 1,96 1,80 1,94 1,98 1,12
CPU Computing 3,38 3,04 3,15 3,37 3,35
CPU Rendering 5,99 5,18 5,29 6,01 5,99
GPU Rendering 3,13 2,86 3,07 3,16 1,74

В тесте SPECapc 3ds for max 2015 лидируют процессоры Broadwell. Причем, если в подтестах, зависящих от производительности CPU (CPU Composite Score), процессоры Core i7-4790K и Xeon E3-1285 v4 демонстрируют равную производительность, то в подтестах, зависящих от производительности графического ядра (GPU Composite Score), все процессоры Broadwell существенно опережают процессор Core i7-4790K.


SPECapc for Maya 2012

Теперь посмотрим на результат еще одного теста трехмерного моделирования - SPECapc for Maya 2012. Напомним, что данный бенчмарк запускался в паре с пакетом Autodesk Maya 2015.

Результаты этого теста представлены в таблице, а нормированные результаты - на диаграммах. За референсный принимается результат процессора Core i7-4790K.

Тест Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
GFX Score 1,96 1,75 1,87 1,91 1,67
CPU Score 5,47 4,79 4,76 5,41 5,35

В этом тесте процессор Xeon E3-1285 v4 демонстрирует немного более высокую производительность в сравнении с процессором Core i7-4790K, однако, разница не столь существенна, как в пакете SPECapc 3ds for max 2015.


POV-Ray 3.7

В тесте POV-Ray 3.7 (рендеринг трехмерной модели) лидером является процессор Core i7-4790K. В данном случае более высокая тактовая частота (при равном количестве ядер) дает преимущество процессору.

Тест Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
Render average, PPS 1568,18 1348,81 1396,3 1560.6 1754,48

Cinebench R15

В бенчмарке Cinebench R15 результат оказался неоднозначным. В тесте OpenGL все процессоры Broadwell существенно превосходят процессор Core i7-4790K, что естественно, поскольку в них интегрировано более производительное графическое ядро. А вот в процессорном тесте, наоборот, более производительным оказывается процессор Core i7-4790K.

Тест Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
OpenGL, fps 71,88 66,4 72,57 73 33,5
CPU, cb 774 667 572 771 850


SPECviewperf v.12.0.2

В тестах пакета SPECviewperf v.12.0.2 результаты определяются преимущественно производительностью графического ядра процессора и, кроме того, оптимизацией видеодрайвера к тем или иным приложениям. Поэтому, в этих тестах процессор Core i7-4790K существенно отстает от процессоров Broadwell.

Результаты тестирования представлены в таблице, а также в нормированном виде на диаграммах. За референсный принимается результат процессора Core i7-4790K.

Тест Xeon E3-1285 v4 Xeon E3-1265L v4 Core i5-5675C Core i7-5775C Core i7-4790K
catia-04 20,55 18,94 20,10 20,91 12,75
creo-01 16,56 15,52 15,33 15,55 9,53
energy-01 0,11 0,10 0,10 0,10 0,08
maya-04 19,47 18,31 19,87 20,32 2,83
medical-01 2,16 1,98 2,06 2,15 1,60
showcase-01 10,46 9,96 10,17 10,39 5,64
snx-02 12,72 11,92 3,51 3,55 3,71
sw-03 31,32 28,47 28,93 29,60 22,63

2,36 Blender 2,43 2,11 1,82 2,38 2,59 HandBrake 2,33 2,01 1,87 2,22 2,56 LuxRender 2,63 2,24 1,97 2,62 2,86 IOMeter 15,9 15,98 16,07 15,87 16,06 Maya 1,73 1,63 1,71 1,68 0,24 Product Development 3,08 2,73 2,6 2,44 2,49 Rodinia 3,2 2,8 2,54 1,86 2,41 CalculiX 1,77 1,27 1,49 1,76 1,97 WPCcfg 2,15 2,01 1,98 1,63 1,72 IOmeter 20,97 20,84 20,91 20,89 21,13 catia-04 1,31 1,21 1,28 1,32 0,81 showcase-01 1,02 0,97 0,99 1,00 0,55 snx-02 0,69 0,65 0,19 0,19 0,2 sw-03 1,51 1,36 1,38 1,4 1,08 Life Sciences 2,73 2,49 2,39 2,61 2,44 Lammps 2,52 2,31 2,08 2,54 2,29 namd 2,47 2,14 2,1 2,46 2,63 Rodinia 2,89 2,51 2,23 2,37 2,3 Medical-01 0,73 0,67 0,69 0,72 0,54 IOMeter 11,59 11,51 11,49 11,45 11,5 Financial Services 2,42 2,08 1,95 2,42 2,59 Monte Carlo 2,55 2,20 2,21 2,55 2,63 Black Scholes 2,57 2,21 1,62 2,56 2,68 Binomial 2,12 1,83 1,97 2,12 2,44 Energy 2,72 2,46 2,18 2,62 2,72 FFTW 1,8 1,72 1,52 1,83 2,0 Convolution 2,97 2,56 1,35 2,98 3,5 Energy-01 0,81 0,77 0,78 0,81 0,6 srmp 3,2 2,83 2,49 3,15 2,87 Kirchhoff Migration 3,58 3,07 3,12 3,54 3,54 Poisson 1,79 1,52 1,56 1,41 2,12 IOMeter 12,26 12,24 12,22 12,27 12,25 General Operation 3,85 3,6 3,53 3,83 4,27 7Zip 2,48 2,18 1,96 2,46 2,58 Python 1,58 1,59 1,48 1,64 2,06 Octave 1,51 1,31 1,44 1,44 1,68 IOMeter 37,21 36,95 37,2 37,03 37,4

Нельзя сказать, что в этом тесте все однозначно. В некоторых сценариях (Media and Entertaiment, Product Development, Life Sciences) более высокий результат демонстрируют процессоры Broadwell. Есть сценарии (Financial Services, Energy, General Operation), где преимущество на стороне процессора Core i7-4790K либо результаты примерно одинаковые.






Игровые тесты

И в заключение рассмотрим результаты тестирования процессоров в игровых тестах. Напомним, что для тестирования мы использовали следующие игры и игровые бенчмарки:

  • Aliens vs Predator
  • World of Tanks 0.9.5
  • Grid 2
  • Metro: LL Redux
  • Metro: 2033 Redux
  • Hitman: Absolution
  • Thief
  • Tomb Raider
  • Sleeping Dogs
  • Sniper Elite V2

Тестирование проводилось при разрешении экрана 1920×1080 и в двух режимах настройки: на максимальное и минимальное качество. Результаты тестирования представлены на диаграммах. В данном случае результаты не нормируются.

В игровых тестах результаты таковы: все процессоры Broadwell демонстрируют очень близкие результаты, что естественно, поскольку в них используется одно и то же графическое ядро Broadwell GT3e. И самое главное, что при настройках на минимальное качество процессоры Broadwell позволяют комфортно играть (при FPS более 40) в большинство игр (при разрешении 1920×1080).

С другой стороны, если в системе используется дискретная графическая карта, то особого смысла в новых процессорах Broadwell просто нет. То есть нет смысла менять Haswell на Broadwell. Да и цена у Broadwell-ов не так, что бы очень привлекательная. К примеру, Intel Core i7-5775C стоит дороже Intel Core i7-4790K.

Впрочем, Intel, похоже, и не делает ставки на настольные процессоры Broadwell. Ассортимент моделей крайне скромный, да и на подходе процессоры Skylake, так что вряд ли процессоры Intel Core i7-5775C и Core i5-5675С будут пользоваться особым спросом.

Серверные процессоры семейства Xeon E3-1200 v4 - это отдельный сегмент рынка. Для большинства обычных домашних пользователей такие процессоры не представляют интереса, а вот в корпоративном секторе рынка эти процессоры, возможно, и будут пользоваться спросом.

Практически всегда под любой публикацией, в которой так или иначе затрагивается тема производительности современных интеловских процессоров, рано или поздно появляется несколько сердитых читательских комментариев о том, что прогресс в развитии чипов у Intel давно забуксовал и нет смысла переходить со «старого доброго Core i7-2600K» на что-то новое. В таких репликах, скорее всего, будет раздражённо упоминаться про прирост производительности на неосязаемом уровне «не более пяти процентов в год»; про низкокачественный внутренний термоинтерфейс, который непоправимо испортил современные процессоры Intel; либо про то, что покупать в современных условиях процессоры с таким же, как и несколько лет назад, количеством вычислительных ядер вообще - удел недальновидных дилетантов, так как в них нет необходимого задела на будущее.

В том, что все такие реплики не лишены оснований, сомнений нет. Однако очень похоже, что они многократно преувеличивают имеющиеся проблемы. Лаборатория 3DNews подробно тестирует интеловские процессоры с 2000 года, и мы не можем согласиться с тезисом, что какому бы то ни было их развитию пришёл конец, а происходящее с микропроцессорным гигантом в течение последних лет иначе как стагнацией уже и не назовёшь. Да, какие-то кардинальные перемены с процессорами Intel происходят редко, но тем не менее они продолжают планомерно совершенствоваться. Поэтому те чипы серии Core i7, которые можно купить сегодня, заведомо лучше моделей, предлагавшихся несколько лет тому назад.

Поколение Core Кодовое имя Техпроцесс Этап разработки Время выхода
2 Sandy Bridge 32 нм Так (Архитектура) I кв. 2011
3 Ivy Bridge 22 нм Тик (Процесс) II кв. 2012
4 Haswell 22 нм Так (Архитектура) II кв. 2013
5 Broadwell 14 нм Тик (Процесс) II кв. 2015
6 Skylake 14 нм Так
(Архитектура)
III кв. 2015
7 Kaby Lake 14+ нм Оптимизация I кв. 2017
8 Coffee Lake 14++ нм Оптимизация IV кв. 2017

Собственно, этот материал как раз и является контраргументом для рассуждений о никчёмности выбранной Intel стратегии постепенного развития потребительских CPU. Мы решили собрать в одном тесте старшие интеловские процессоры для массовых платформ за последние семь лет и посмотреть на практике, насколько представители серий Kaby Lake и Coffee Lake ушли вперёд относительно «эталонных» Sandy Bridge, которые за годы гипотетических сравнений и мысленных противопоставлений в представлении обывателей стали настоящей иконой процессоростроения.

⇡ Что поменялось в процессорах Intel c 2011 года по настоящее время

Отправной точкой в новейшей истории развития процессоров Intel принято считать микроархитектуру Sandy Bridge . И это неспроста. Несмотря на то, что первое поколение процессоров под маркой Core было выпущено в 2008 году на базе микроархитектуры Nehalem, почти все основные черты, которые присущи современным массовым CPU микропроцессорного гиганта, вошли в обиход не тогда, а парой лет позднее, когда распространение получило следующее поколение процессорного дизайна, Sandy Bridge.

Сейчас компания Intel приучила нас к откровенно неторопливому прогрессу в разработке микроархитектуры, когда нововведений стало очень мало и они почти не приводят к росту удельной производительности процессорных ядер. Но всего лишь семь лет назад ситуация была кардинально иной. В частности, переход от Nehalem к Sandy Bridge был ознаменован 15-20-процентным ростом показателя IPC (числа исполняемых за такт инструкций), что обуславливалось глубокой переделкой логической конструкции ядер с прицелом на повышение их эффективности.

В Sandy Bridge были заложены многие принципы, которые с тех пор не менялись и стали стандартными для большинства процессоров сегодняшнего дня. Например, именно там появился отдельный кеш нулевого уровня для декодированных микроопераций, а также стал применяться физический регистровый файл, снижающий энергозатраты при работе алгоритмов внеочередного выполнения инструкций.

Но, пожалуй, самым главным нововведением стало то, что Sandy Bridge был спроектирован как унифицированная система-на-чипе, рассчитанная одновременно на все классы применений: на серверные, десктопные и мобильные. Скорее всего, в прадедушки современных Coffee Lake общественное мнение поставило именно его, а не какой-нибудь Nehalem и уж тем более не Penryn, именно из-за этой особенности. Впрочем, и итоговая сумма всех переделок в глубинах микроархитектуры Sandy Bridge тоже оказалась весьма значительной. В конечном итоге этот дизайн утратил все старые родственные связи с P6 (Pentium Pro), которые то здесь, то там проявлялись во всех предшествующих процессорах Intel.

Говоря об общей структуре, нельзя также не вспомнить и о том, что в процессорный кристалл Sandy Bridge впервые в истории интеловских CPU было встроено полноценное графическое ядро. Этот блок отправился внутрь процессора вслед за контроллером DDR3-памяти, разделяемым L3-кешем и контроллером шины PCI Express. Для соединения вычислительных ядер и всех остальных «внеядерных» частей инженеры Intel внедрили в Sandy Bridge новую на тот момент масштабируемую кольцевую шину, применяемую для организации взаимодействия между структурными единицами в последующих массовых CPU и по сей день.

Если же опуститься на уровень микроархитектуры Sandy Bridge, то одной из ключевых её особенностей стала поддержка семейства SIMD-инструкций, AVX, предназначенных для работы с 256-битными векторами. К настоящему моменту такие инструкции прочно вошли в обиход и не кажутся чем-то необычным, но их реализация в Sandy Bridge потребовала расширения части вычислительных исполнительных устройств. Инженеры Intel стремились сделать работу с 256-битными данными такой же быстрой, как и с векторами меньшей разрядности. Поэтому вместе с реализацией полноценных 256-битных исполнительных устройств потребовалось и увеличение скорости работы процессора с памятью. Логические исполнительные устройства, предназначенные для загрузки и сохранения данных, в Sandy Bridge получили удвоенную производительность, кроме того, симметрично была увеличена пропускная способность кеш-памяти первого уровня при чтении.

Нельзя не упомянуть и о сделанных в Sandy Bridge кардинальных изменениях в работе блока предсказания ветвлений. Благодаря оптимизациям в применяемых алгоритмах и увеличению размеров буферов, архитектура Sandy Bridge позволила сократить процент неверных предсказаний переходов почти вдвое, что не только заметно сказалось на производительности, но и позволило дополнительно снизить энергопотребление этого дизайна.

В конечном итоге с сегодняшних позиций процессоры Sandy Bridge можно было бы назвать образцово-показательным воплощением фазы «так» в интеловском принципе «тик-так». Как и предшественники, данные процессоры продолжили базироваться на техпроцессе с 32-нм нормами, но предложенный ими рост производительности оказался более чем убедителен. И подпитывала его не только обновлённая микроархитектура, но и увеличенные на 10-15 процентов тактовые частоты, а также внедрение более агрессивной версии технологии Turbo Boost 2.0. Если учесть всё это, хорошо понятно, почему многие энтузиасты до сих пор вспоминают Sandy Bridge самыми тёплыми словами.

Старшим предложением в семействе Core i7 на момент выхода микроархитектуры Sandy Bridge стал Core i7-2600K. Этот процессор получил тактовую частоту на уровне 3,3 ГГц с возможностью авторазгона при неполной нагрузке до 3,8 ГГц. Впрочем, отличали 32-нм представителей Sandy Bridge не только сравнительно высокие для того времени тактовые частоты, но и хороший разгонный потенциал. Среди Core i7-2600K нередко можно было встретить экземпляры, способные работать на частотах 4,8-5,0 ГГц, что во многом обуславливалось применением в них качественного внутреннего термоинтерфейса - бесфлюсового припоя.

Через девять месяцев после выпуска Core i7-2600K, в октябре 2011 года, компания Intel обновила старшее предложение в модельном ряду и предложила немного ускоренную модель Core i7-2700K, номинальная частота которой была доведена до 3,5 ГГц, а максимальная частота в турборежиме - до 3,9 ГГц.

Впрочем, жизненный цикл Core i7-2700K оказался коротким - уже в апреле 2012 года на смену Sandy Bridge пришёл обновлённый дизайн Ivy Bridge . Ничего особенного: Ivy Bridge относился к фазе «тик», то есть представлял собой перевод старой микроархитектуры на новые полупроводниковые рельсы. И в этом отношении прогресс действительно был серьёзным - кристаллы Ivy Bridge производились по 22-нм технологическому процессу, основанному на трёхмерных FinFET-транзисторах, которые в то время только входили в употребление.

При этом старая микроархитектура Sandy Bridge на низком уровне осталась практически нетронута. Были выполнены лишь отдельные косметические переделки, которые ускорили выполнение в Ivy Bridge операций деления и немного повысили эффективность технологии Hyper-Threading. Правда, попутно были несколько улучшены «внеядерные» компоненты. Контроллер PCI Express получил совместимость с третьей версией протокола, а контроллер памяти увеличил свои возможности и стал поддерживать скоростную оверклокерскую DDR3-память. Но в итоге рост удельной производительности при переходе от Sandy Bridge к Ivy Bridge составил не более 3-5 процентов.

Не дал серьёзных причин для радости и новый технологический процесс. К сожалению, внедрение 22-нм норм не позволило как-то принципиально нарастить тактовые частоты Ivy Bridge. Старшая версия Core i7-3770K получила номинальную частоту 3,5 ГГц с возможностью разгона в турборежиме до 3,9 ГГц, то есть с точки зрения частотной формулы она оказалась ничуть не быстрее Core i7-2700K. Улучшилась лишь энергоэффективность, однако пользователей настольных компьютеров этот аспект традиционно волнует слабо.

Всё это, конечно, вполне можно списать на то, что на этапе «тик» никаких прорывов происходить и не должно, но кое в чём Ivy Bridge оказались даже хуже предшественников. Речь - о разгоне. При выводе на рынок носителей этого дизайна Intel приняла решение отказаться от использования при финальной сборке процессоров бесфлюсовой пайки галлиевым припоем теплораспределительной крышки к полупроводниковому кристаллу. Начиная с Ivy Bridge для организации внутреннего термоинтерфейса стала использоваться банальная термопаста, и это сразу же ударило по максимально достижимым частотам. По разгонному потенциалу Ivy Bridge определённо стали хуже, и в результате переход от Sandy Bridge к Ivy Bridge стал одним из самых спорных моментов в новейшей истории потребительских процессоров Intel.

Поэтому на следующий этап эволюции, Haswell , возлагались особенные надежды. В этом поколении, относящемся к фазе «так», должны были появиться серьёзные микроархитектурные улучшения, от которых ожидалась способность как минимум продвинуть вперёд забуксовавший было прогресс. И в какой-то степени это произошло. Появившиеся летом 2013 года процессоры Core четвёртого поколения действительно приобрели заметные улучшения во внутренней структуре.

Основное: теоретическая мощность исполнительных устройств Haswell, выражающаяся в количестве исполняемых за такт микроопераций, по сравнению с прошлыми CPU выросла на треть. В новой микроархитектуре не только был проведён ребаланс имеющихся исполнительных устройств, но и появилось два дополнительных исполнительных порта для целочисленных операций, обслуживания ветвлений и генерации адресов. Кроме того, микроархитектура получила совместимость с расширенным набором векторных 256-битных инструкций AVX2, которые благодаря трёхоперандным FMA-командам увеличили пиковую пропускную способность архитектуры вдвое.

В дополнение к этому инженеры Intel пересмотрели ёмкость внутренних буферов и, где это было необходимо, увеличили их. Выросло в размере окно планировщика. Кроме того, были увеличены целочисленный и вещественночисленный физические регистровые файлы, что улучшило возможности процессора по переупорядочиванию порядка исполнения инструкций. В дополнение ко всему этому, существенно изменилась и подсистема кеш-памяти. L1- и L2-кеши в Haswell получили вдвое более широкую шину.

Казалось бы, перечисленных улучшений должно быть достаточно для того, чтобы заметно поднять удельную производительность новой микроархитектуры. Но как бы не так. Проблема дизайна Haswell состояла в том, что он оставил без изменений входную часть исполнительного конвейера и декодер x86-команд сохранил ту же производительность, что и раньше. То есть максимальный темп декодирования x86-кода в микроинструкции остался на уровне 4-5 команд за такт. И в результате при сопоставлении Haswell и Ivy Bridge на одинаковой частоте и при нагрузке, не использующей новые AVX2-инструкции, выигрыш в производительности оказался всего лишь на уровне 5-10 процентов.

Имидж микроархитектуры Haswell подпортила и первая волна процессоров, выпущенная на её основе. Опираясь на всё тот же 22-нм техпроцесс, что и Ivy Bridge, новинки не смогли предложить высокие частоты. Например, старший Core i7-4770K вновь получил базовую частоту 3,5 ГГц и максимальную частоту в турборежиме на уровне 3,9 ГГц, то есть по сравнению с прошлыми поколениями Core никакого продвижения не наметилось.

В то же время с внедрением следующего технологического процесса с 14-нм нормами у Intel стали возникать разного рода трудности, поэтому через год, летом 2014 года, на рынок было выведено не следующее поколение процессоров Core, а вторая очередь Haswell, которая получила кодовые имена Haswell Refresh, или, если говорить о флагманских модификациях, то Devil’s Canyon. В рамках этого обновления Intel смогла заметно увеличить тактовые частоты 22-нм CPU, что действительно вдохнуло в них новую жизнь. В качестве примера можно привести новый старший процессор Core i7-4790K, который по номинальной частоте взял отметку в 4,0 ГГц и получил максимальную частоту с учётом турборежима на уровне 4,4 ГГц. Удивительно, что подобное полугигагерцевое ускорение было достигнуто без каких-либо реформ техпроцесса, а лишь за счёт простых косметических изменений в схеме питания процессоров и благодаря улучшению теплопроводящих свойств термопасты, используемой под крышкой CPU.

Впрочем, даже представители семейства Devil’s Canyon особенно жалуемыми в среде энтузиастов предложениями стать не смогли. На фоне результатов Sandy Bridge их разгон нельзя было назвать выдающимся, к тому же достижение высоких частот требовало сложного «скальпирования» - демонтажа процессорной крышки с последующей заменой штатного термоинтерфейса каким-либо материалом с лучшей теплопроводностью.

Из-за сложностей, которые преследовали Intel при переводе массового производства на 14-нм нормы, выступление следующего, пятого по счёту поколения процессоров Core, Broadwell , получилось сильно скомканным. Компания долго не могла решить, стоит ли вообще выпускать на рынок десктопные процессоры с этим дизайном, поскольку при попытках изготовления крупных полупроводниковых кристаллов уровень брака превышал приемлемые значения. В конечном итоге предназначенные для настольных компьютеров четырёхъядерники Broadwell всё-таки появились, но, во-первых, произошло это лишь летом 2015 года - с девятимесячным опозданием относительно изначально запланированного срока, а во-вторых, уже через два месяца после их анонса Intel представила дизайн следующего поколения, Skylake.

Тем не менее с точки зрения развития микроархитектуры Broadwell трудно назвать вторичной разработкой. И даже более того, в настольных процессорах этого поколения применялись такие решения, к которым ни до того, ни после того Intel никогда не прибегала. Уникальность десктопных Broadwell определялась тем, что в них проникло производительное интегрированное графическое ядро Iris Pro уровня GT3e. И это значит не только то, что процессоры этого семейства обладали самым мощным на тот момент встроенным видеоядром, но и также то, что они комплектовались дополнительным 22-нм кристаллом Crystall Well, представляющим собой основанную на eDRAM кеш-память четвёртого уровня.

Смысл добавления в процессор отдельного чипа быстрой встроенной памяти вполне очевиден и обусловлен потребностями производительного встроенного графического ядра в фрейм-буфере с низкой латентностью и высокой пропускной способностью. Однако установленная в Broadwell память eDRAM архитектурно была выполнена именно как виктимный кеш, и ей могли пользоваться и вычислительные ядра CPU. В результате десктопные Broadwell стали единственными в своём роде массовыми процессорами с 128 Мбайт L4-кеша. Правда, при этом несколько пострадал объём расположенного в процессорном кристалле L3-кеша, который был сокращён с 8 до 6 Мбайт.

Некоторые улучшения были заложены и в базовой микроархитектуре. Несмотря на то, что Broadwell относился к фазе «тик», переделки коснулись входной части исполнительного конвейера. Было увеличено окно планировщика внеочередного исполнения команд, в полтора раза вырос объём таблицы ассоциативной трансляции адресов второго уровня, а, кроме того, вся схема трансляции приобрела второй обработчик промахов, что позволило обрабатывать по две операции преобразования адресов параллельно. В сумме все нововведения повысили эффективность внеочередного исполнения команд и предсказания сложных ветвлений кода. Попутно были усовершенствованы механизмы выполнения операций умножения, которые в Broadwell стали обрабатываться в существенно более быстром темпе. По итогам всего этого Intel даже смогла утверждать, что улучшения микроархитектуры повысили удельную производительность Broadwell по сравнению с Haswell на величину порядка пяти процентов.

Но несмотря на всё это, ни о каком существенном преимуществе первых десктопных 14-нм процессоров вести речь было невозможно. И кеш четвёртого уровня, и микроархитектурные изменения лишь пытались скомпенсировать главный изъян Broadwell - низкие тактовые частоты. Из-за проблем с технологическим процессом базовая частота старшего представителя семейства, Core i7-5775C, была установлена лишь на уровне 3,3 ГГц, а частота в турборежиме не превышала 3,7 ГГц, что оказалось хуже характеристик Devil’s Canyon на целых 700 МГц.

Подобная же история произошла и с разгоном. Предельные частоты, до которых удавалось раскочегаривать десктопные Broadwell без использования продвинутых методов охлаждения, находились в районе 4,1-4,2 ГГц. Поэтому нет ничего удивительного, что потребители восприняли выпуск Broadwell скептически, и процессоры этого семейства так и остались странным нишевым решением для тех, кто был заинтересован в производительном встроенном графическом ядре. Первым же полноценным 14-нм чипом для настольных компьютеров, который смог привлечь к себе внимание широких слоёв пользователей, стал только следующий проект микропроцессорного гиганта - Skylake .

Производство Skylake, как и процессоров предыдущего поколения, выполнялось по 14-нм техпроцессу. Однако здесь Intel уже смогла добиться нормальных тактовых частот и разгона: старшая десктопная версия Skylake, Core i7-6700K, получила номинальную частоту 4,0 ГГц и авторазгон в рамках турборежима до 4,2 ГГц. Это чуть более низкие значения, если сравнивать с Devil’s Canyon, однако более новые процессоры оказались определённо быстрее предшественников. Дело в том, что Skylake - это «так» в интеловской номенклатуре, что означает существенные изменения в микроархитектуре.

И они действительно есть. Улучшений в дизайне Skylake на первый взгляд было сделано не так много, но все они носили прицельный характер и позволили устранить имевшиеся слабые места в микроархитектуре. Если коротко, то Skylake получили увеличенные внутренние буфера для более глубокого внеочередного исполнения инструкций и более высокую пропускную способность кеш-памяти. Усовершенствования затронули блок предсказания переходов и входную часть исполнительного конвейера. Также был увеличен темп исполнения инструкций деления, и перебалансированы механизмы исполнения операций сложения, умножения и FMA-инструкций. В довершение разработчики потрудились над повышением эффективности технологии Hyper-Threading. В сумме это позволило добиться примерно 10-процентного улучшения производительности на такт в сравнении с процессорами прошлых поколений.

В целом Skylake можно охарактеризовать как достаточно глубокую оптимизацию исходной архитектуры Core, с таким расчётом, чтобы в дизайне процессора не оставалось никаких узких мест. С одной стороны, за счёт увеличения мощности декодера (с 4 до 5 микроопераций за такт) и скорости работы кеша микроопераций (с 4 до 6 микроопераций за такт) существенно увеличился темп декодирования инструкций. А с другой - выросла эффективность обработки получающихся микроопераций, чему поспособствовало углубление алгоритмов внеочередного исполнения и перераспределение возможностей исполнительных портов вместе с серьёзной ревизией темпа исполнения целого ряда обычных, SSE и AVX-команд.

Например, Haswell и Broadwell имели по два порта для исполнения умножений и FMA-операций над вещественными числами, но только один порт предназначался для сложений, что плохо соответствовало реальному программному коду. В Skylake этот дисбаланс был устранён и сложения стали выполняться уже на двух портах. Кроме того, количество портов, способных работать с целочисленными векторными инструкциями, выросло с двух до трёх. В конечном итоге всё это привело к тому, что практически для любого типа операций в Skylake всегда есть несколько альтернативных портов. А это значит, что в микроархитектуре наконец были успешно устранены практически все возможные причины простоя конвейера.

Заметные изменения затронули и подсистему кеширования: пропускная способность кеш-памяти второго и третьего уровня была увеличена. Кроме того, сократилась ассоциативность кеша второго уровня, что в конечном счёте позволило улучшить его КПД и уменьшить штраф при обработке промахов.

Существенные перемены произошли и на более высоком уровне. Так, в Skylake вдвое выросла пропускная способность кольцевой шины, которая соединяет все процессорные блоки. Кроме того, в CPU этого поколения обосновался новый контроллер памяти, который получил совместимость с DDR4 SDRAM. А в дополнение к этому для соединения процессора с чипсетом стала применяться новая шина DMI 3.0 с увеличенной вдвое пропускной способностью, что дало возможность реализовать скоростные линии PCI Express 3.0 в том числе и через чипсет.

Впрочем, как и все предшествующие версии архитектуры Core, Skylake представлял собой ещё одну вариацию на тему изначального дизайна. А это значит, что и в шестом поколении микроархитектуры Core разработчики Intel продолжили придерживаться тактики поэтапного внедрения улучшений на каждом цикле разработки. В целом это - не слишком впечатляющий подход, который не позволяет увидеть какие-то значимые изменения в производительности сразу - при сравнении CPU из соседних поколений. Но зато при модернизации старых систем ощутимый прирост производительности заметить совсем несложно. Например, сама Intel охотно сравнивала Skylake с Ivy Bridge, демонстрируя при этом, что за три года быстродействие процессоров выросло более чем на 30 процентов.

И в действительности это был достаточно серьёзный прогресс, потому что потом всё стало значительно хуже. После Skylake какое бы то ни было улучшение удельной производительности процессорных ядер прекратилось совсем. Те процессоры, которые представлены на рынке в настоящее время, всё ещё продолжают использовать микроархитектурный дизайн Skylake, несмотря на то, что с момента его появления в десктопных процессорах прошло уже почти три года. Неожиданный простой случился из-за того, что Intel не смогла справиться со внедрением следующей версии полупроводникового процесса с 10-нм нормами. В результате весь принцип «тик-так» рассыпался, вынудив микропроцессорного гиганта как-то выкручиваться и заниматься многократным перевыпуском старых продуктов под новыми именами.

Процессоры поколения Kaby Lake , которые появились на рынке в самом начале 2017 года, стали первым и очень ярким примером попыток Intel продать клиентам тот же Skylake во второй раз. Близкие родственные связи между двумя поколениями процессоров особо и не скрывались. Intel честно говорила, что Kaby Lake - это уже не «тик» и не «так», а простая оптимизация предыдущего дизайна. При этом под словом «оптимизация» понимались некие улучшения в структуре 14-нм транзисторов, которые открывали возможность увеличения тактовых частот без изменения рамок теплового пакета. Для видоизменённого техпроцесса был даже придуман специальный термин «14+ нм». Благодаря этой производственной технологии старший массовый десктопный процессор Kaby Lake, получивший наименование Core i7-7700K, смог предложить пользователям номинальную частоту 4,2 ГГц и частоту турборежима 4,5 ГГц.

Таким образом, рост частот Kaby Lake по сравнению с оригинальным Skylake составил примерно 5 процентов, и этим всё и ограничивалось, что, честно говоря, ставило под сомнение правомерность отнесения Kaby Lake к следующему поколению Core. До этого момента каждое последующее поколение процессоров, не важно, относилось оно к фазе «тик» или «так», обеспечивало хоть какой-то прирост показателя IPC. Между тем в Kaby Lake никаких микроархитектурных улучшений не было вообще, поэтому эти процессоры логичнее было бы считать просто вторым степпингом Skylake.

Однако новая версия 14-нм техпроцесса всё же смогла кое в чём положительно проявить себя: разгонный потенциал Kaby Lake по сравнению с Skylake подрос примерно на 200-300 МГц, благодаря чему процессоры данной серии оказались достаточно тепло встречены энтузиастами. Правда, Intel продолжила использовать под процессорной крышкой вместо припоя термопасту, поэтому для полноценного разгона Kaby Lake необходимо было проводить скальпирование.

Не справилась Intel и с вводом в строй 10-нм технологии и к началу текущего года. Поэтому в конце прошлого года на рынок была выведена ещё одна разновидность процессоров, построенных на всё той же микроархитектуре Skylake, - Coffee Lake . Но говорить о Coffee Lake как о третьем обличье Skylake не совсем правильно. Прошлый год стал периодом кардинальной смены парадигмы на процессорном рынке. В «большую игру» вернулась AMD, которая смогла переломить устоявшиеся традиции и создать спрос на массовые процессоры с числом ядер более четырёх. Внезапно Intel оказалась в роли догоняющей, и выход Coffee Lake стал не столько попыткой заполнить паузу до долгожданного появления 10-нм процессоров Core, сколько реакцией на выход шести- и восьмиядерных процессоров AMD Ryzen.

В результате процессоры Coffee Lake получили важное структурное отличие от своих предшественников: число ядер в них было увеличено до шести штук, что с массовой платформой Intel произошло впервые. Однако при этом никаких изменений на уровне микроархитектуры вновь введено не было: Coffee Lake по сути - шестиядерный Skylake, собранный на основе точно таких же по внутреннему устройству вычислительных ядер, которые снабжены увеличенным до 12 Мбайт L3-кешем (по стандартному принципу 2 Мбайт на ядро) и объединены привычной кольцевой шиной.

Впрочем, несмотря на то, что мы так запросто позволяем себе говорить о Coffee Lake «ничего нового», утверждать о полном отсутствии каких-то перемен не совсем справедливо. Хотя в микроархитектуре вновь ничего не поменялось, специалистам Intel пришлось потратить немало усилий для того, чтобы шестиядерные процессоры смогли вписаться в стандартную десктопную платформу. И результат вышел достаточно убедительным: шестиядерные процессоры остались верны привычному тепловому пакету и, более того, совсем не замедлились по тактовым частотам.

В частности, старший представитель поколения Coffee Lake, Core i7-8700K, получил базовую частоту 3,7 ГГц, а в турборежиме он может разгоняться до 4,7 ГГц. При этом оверклокерский потенциал Coffee Lake, несмотря на его более массивный полупроводниковый кристалл, оказался даже лучше, чем у всех предшественников. Core i7-8700K нередко выводятся их рядовыми владельцами на пятигигагерцевый рубеж, причём такой разгон бывает реален даже без скальпирования и замены внутреннего термоинтерфейса. И это значит, что Coffee Lake хоть и экстенсивный, но существенный шаг вперёд.

Всё это стало возможным исключительно благодаря очередному усовершенствованию 14-нм технологического процесса. На четвёртый год его использования для массового производства десктопных чипов Intel удалось добиться действительно впечатляющих результатов. Внедрённая третья версия 14-нм норм («14++ нм» в обозначениях производителя) и перекомпоновка полупроводникового кристалла позволили существенно улучшить производительность в пересчёте на каждый затраченный ватт и поднять суммарную вычислительную мощность. Внедрением шестиядерности Intel, пожалуй, смогла совершить даже более значительный шаг вперёд, чем любым из предшествующих тому улучшений микроархитектуры. И сегодня Coffee Lake смотрится весьма соблазнительным вариантом для модернизации старых систем, основанных на предыдущих носителях микроархитектуры Core.

Кодовое имя Техпроцесс Число ядер GPU L3-кеш, Мбайт Число транзисторов, млрд Площадь кристалла, мм 2
Sandy Bridge 32 нм 4 GT2 8 1,16 216
Ivy Bridge 22 нм 4 GT2 8 1,2 160
Haswell 22 нм 4 GT2 8 1,4 177
Broadwell 14 нм 4 GT3e 6 Н/д ~145 + 77 (eDRAM)
Skylake 14 нм 4 GT2 8 Н/д 122
Kaby Lake 14+ нм 4 GT2 8 Н/д 126
Coffee Lake 14++ нм 6 GT2 12 Н/д 150

⇡ Процессоры и платформы: спецификации

Для проведения сравнения семи последних поколений Core i7 мы взяли старших представителей в соответствующих сериях - по одному от каждого дизайна. Основные характеристики этих процессоров приведены в следующей таблице.

Core i7-2700K Core i7-3770K Core i7-4790K Core i7-5775C Core i7-6700K Core i7-7700K Core i7-8700K
Кодовое имя Sandy Bridge Ivy Bridge Haswell (Devil’s Canyon) Broadwell Skylake Kaby Lake Coffee Lake
Технология производства, нм 32 22 22 14 14 14+ 14++
Дата выхода 23.10.2011 29.04.2012 2.06.2014 2.06.2015 5.08.2015 3.01.2017 5.10.2017
Ядра/потоки 4/8 4/8 4/8 4/8 4/8 4/8 6/12
Базовая частота, ГГц 3,5 3,5 4,0 3,3 4,0 4,2 3,7
Частота Turbo Boost, ГГц 3,9 3,9 4,4 3,7 4,2 4,5 4,7
L3-кеш, Мбайт 8 8 8 6 (+128 Мбайт eDRAM) 8 8 12
Поддержка памяти DDR3-1333 DDR3-1600 DDR3-1600 DDR3L-1600 DDR4-2133 DDR4-2400 DDR4-2666
Расширения набора инструкций AVX AVX AVX2 AVX2 AVX2 AVX2 AVX2
Интегрированная графика HD 3000 (12 EU) HD 4000 (16 EU) HD 4600 (20 EU) Iris Pro 6200 (48 EU) HD 530 (24 EU) HD 630 (24 EU) UHD 630 (24 EU)
Макс. частота графического ядра, ГГц 1,35 1,15 1,25 1,15 1,15 1,15 1,2
Версия PCI Express 2.0 3.0 3.0 3.0 3.0 3.0 3.0
Линии PCI Express 16 16 16 16 16 16 16
TDP, Вт 95 77 88 65 91 91 95
Сокет LGA1155 LGA1155 LGA1150 LGA1150 LGA1151 LGA1151 LGA1151v2
Официальная цена $332 $332 $339 $366 $339 $339 $359

Любопытно, что за прошедшие с момента выпуска Sandy Bridge семь лет Intel так и не смогла заметно нарастить тактовые частоты. Несмотря на то, что дважды менялся технологический производственный процесс и дважды серьезно оптимизировалась микроархитектура, сегодняшние Core i7 почти не продвинулись вперёд по своей рабочей частоте. Новейший Core i7-8700K имеет номинальную частоту 3,7 ГГц, что всего лишь на 6 процентов выше частоты вышедшего в 2011 году Core i7-2700K.

Впрочем, такое сравнение не совсем корректно, ведь Coffee Lake имеет в полтора раза больше вычислительных ядер. Если же ориентироваться на четырёхъядерный Core i7-7700K, то рост частоты выглядит всё-таки убедительнее: этот процессор ускорился относительно 32-нм Core i7-2700K на достаточно весомые 20 процентов в мегагерцевом выражении. Хотя всё равно вряд ли это можно назвать впечатляющим приростом: в абсолютных величинах это конвертируется в прибавку по 100 МГц в год.

Нет никаких прорывов и в других формальных характеристиках. Intel продолжает снабжать все свои процессоры индивидуальной кеш-памятью второго уровня объёмом 256 Кбайт на ядро, а также общим на все ядра L3-кешем, размер которого определяется из расчёта 2 Мбайт на ядро. Иными словами, главный фактор, по которому произошёл самый большой прогресс, - это число вычислительных ядер. Развитие Core начиналось с четырёхъядерных CPU, а пришло к шестиядерным. Причём очевидно, что это ещё не конец и в ближайшей перспективе мы увидим и восьмиядерные варианты Coffee Lake (либо Whiskey Lake).

Впрочем, как нетрудно заметить, за семь лет у Intel почти не менялась и ценовая политика. Даже шестиядерный Coffee Lake по сравнению с предшествующими четырёхъядерными флагманами подорожал всего лишь на шесть процентов. Все же остальные старшие процессоры класса Core i7 для массовой платформы всегда обходились потребителям в сумму порядка $330-340.

Любопытно, что самые крупные перемены произошли даже не с самими процессорами, а с поддержкой ими оперативной памяти. Пропускная способность двухканальной SDRAM с момента выхода Sandy Bridge и до сегодняшнего дня выросла вдвое: с 21,3 до 41,6 Гбайт/с. И это - ещё одно немаловажное обстоятельство, определяющее преимущество современных систем, совместимых со скоростной DDR4-памятью.

Да и вообще, все эти годы вместе с процессорами эволюционировала и вся остальная платформа. Если вести речь о главных вехах в развитии платформы, то, помимо роста скорости совместимой памяти, отметить хочется и появление поддержки графического интерфейса PCI Express 3.0. Кажется, что скоростная память и быстрая графическая шина наряду с прогрессом в частотах и архитектурах процессоров выступают весомыми причинами того, что современные системы стали лучше и быстрее прошлых. Поддержка DDR4 SDRAM появилась в Skylake, а перевод процессорной шины PCI Express на третью версию протокола произошёл ещё в Ivy Bridge.

Кроме того, заметное развитие получили и сопутствующие процессорам наборы системной логики. Действительно, сегодняшние интеловские чипсеты трёхсотой серии могут предложить гораздо более интересные возможности в сравнении с Intel Z68 и Z77, которые использовались в LGA1155-материнских платах под процессоры поколения Sandy Bridge. В этом нетрудно убедиться по следующей таблице, в которой мы свели воедино характеристики флагманских интеловских чиспсетов для массовой платформы.

P67/Z68 Z77 Z87 Z97 Z170 Z270 Z370
Совместимость с CPU Sandy Bridge
Ivy Bridge
Haswell Haswell
Broadwell
Skylake
Kaby Lake
Coffee Lake
Интерфейс DMI 2.0 (2 Гбайт/с) DMI 3.0 (3,93 Гбайт/с)
Стандарт PCI Express 2.0 3.0
Линии PCI Express 8 20 24
Поддержка PCIe M.2 Нет
Есть
Есть, до 3 устройств
Поддержка PCI Есть Нет
SATA 6 Гбит/с 2 6
SATA 3 Гбит/с 4 0
USB 3.1 Gen2 0
USB 3.0 0 4 6 10
USB 2.0 14 10 8 4

В современных наборах логики существенно развились возможности для подключения высокоскоростных носителей информации. Самое главное: благодаря переходу чипсетов на шину PCI Express 3.0 сегодня в производительных сборках можно использовать быстродействующие NVMe-накопители, которые даже по сравнению с SATA SSD могут предложить заметно лучшую отзывчивость и более высокую скорость чтения и записи. И одно только это может стать веским аргументом в пользу модернизации.

Кроме того, современные наборы системной логики предоставляют гораздо более богатые возможности для подключения дополнительных устройств. И речь не только о существенном увеличении числа линий PCI Express, что обеспечивает наличие на платах нескольких дополнительных слотов PCIe, заменяющих обычные PCI. Попутно в сегодняшних чипсетах имеется также и врождённая поддержка портов USB 3.0, а многие современные материнские платы снабжаются и портами USB 3.1 Gen2.

Процессоры Intel Core 4-го поколения (Haswell) входят в линейки Core i7 и Core i5, изготовлены по нормам 22-нм технологического процесса под сокет LGA 1150 и предназначены в первую очередь для устройств формата 2-в-1, поддерживающих функциональные возможности мобильных и планшетных ПК, а также и портативных моноблоков.

Процессоры Intel Core 4-го поколения Haswell, в первую очередь разрабатывались для устройств класса ультрабук.
Они обеспечивают на 50% более длительное время работы при активных нагрузках по сравнению с процессорами предыдущего поколения.
Высокая энергоэффективность позволяет отдельным моделям ультрабуков работать более 9 часов без подзарядки.

Процессоры имеют встроенные графические системы, производительность которых сопоставима с дискретными графическими решениями.
Производительность графики этих процессоров в два раза превышает показатели процессоров Intel предыдущего поколения.

Корпорация готова представить более 50 различных вариантов устройств форм-фактора 2-в-1 в самых разных ценовых категориях.

Флагманом данного семейства является процессор Core i7-4770K, состоящий из 1,4 миллиарда транзисторов и помимо квартета x86-ядер с поддержкой Hyper-Threading включающий в себя графику HD Graphics 4600, контроллер с поддержкой до 32 ГБ двухканальной памяти DDR3 1600 и 8 МБ кэша третьего уровня.

Тактовая частота CPU равна 3,5 ГГц (до 3,9 ГГц с Turbo Boost), кроме того, эту модель отличает TDP в 84 ватта и разблокированный множитель, что позволяет весьма серьезно разгонять ее.

4-е поколение Intel Core i7 для десктопов:

. Intel Core i7-4770T : разблокированный множитель, TDP 45 Вт, 4 ядра, 8 потоков, 2,5 ГГц базовая, 3,7 ГГц Turbo, 1333/1600 МГц DDR3, 8 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i7-4770S : разблокированный множитель, TDP 65 Вт, 4 ядра, 8 потоков, 3,1 ГГц базовая, 3,9 ГГц Turbo, 1333/1600 МГц DDR3, 8 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i7-4770 : разблокированный множитель, TDP 84 Вт, 4 ядра, 8 потоков, 3,4 ГГц базовая, 3,9 ГГц Turbo, 1333/1600 МГц DDR3, 8 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i7-4770K : разблокированный множитель, TDP 84 Вт, 4 ядра, 8 потоков, 3,5 ГГц базовая, 3,9 ГГц Turbo, 1333/1600 МГц DDR3, 8 МБ L3 кэш, графика Intel HD Graphics 4600 до 1250 МГц, LGA-1150

. Intel Core i7-4770R : разблокированный множитель, TDP 65 Вт, 4 ядра, 8 потоков, 3,2 ГГц базовая, 3,9 ГГц Turbo, 1333/1600 МГц DDR3, 8 МБ L3 кэш, графика Intel Iris Pro 5200 до 1300 МГц, BGA

. Intel Core i7-4765T : разблокированный множитель, TDP 35 Вт, 4 ядра, 8 потоков, 2,0 ГГц базовая, 3,0 ГГц Turbo, 1333/1600 МГц DDR3, 8 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

4-е поколение Intel Core i5 для десктопов:

. Intel Core i5-4670T : разблокированный множитель, TDP 45 Вт, 4 ядра, 4 потока, 2,3 ГГц базовая, 3,3 ГГц Turbo, 1333/1600 МГц DDR3, 6 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i5-4670S : разблокированный множитель, TDP 65 Вт, 4 ядра, 4 потока, 3,1 ГГц базовая, 3,8 ГГц Turbo, 1333/1600 МГц DDR3, 6 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i5-4670K

. Intel Core i5-4670 : разблокированный множитель, TDP 84 Вт, 4 ядра, 4 потока, 3,4 ГГц базовая, 3,8 ГГц Turbo, 1333/1600 МГц DDR3, 6 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i5-4570 : разблокированный множитель, TDP 84 Вт, 4 ядра, 4 потока, 3,2 ГГц базовая, 3,6 ГГц Turbo, 1333/1600 МГц DDR3, 6 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i5-4570S : разблокированный множитель, TDP 65 Вт, 4 ядра, 4 потока, 2,9 ГГц базовая, 3,6 ГГц Turbo, 1333/1600 МГц DDR3, 6 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

. Intel Core i5-4570T : разблокированный множитель, TDP 35 Вт, 2 ядра, 4 потока, 2,9 ГГц базовая, 3,6 ГГц Turbo, 1333/1600 МГц DDR3, 6 МБ L3 кэш, графика Intel HD Graphics 4600 до 1200 МГц, LGA-1150

Компания Intel прошла очень длинный путь развития, от небольшого производителя микросхем до мирового лидера по производству процессоров. За это время было разработано множество технологий производства процессоров, очень сильно оптимизирован технологический процесс и характеристики устройств.

Множество показателей работы процессоров зависит от расположения транзисторов на кристалле кремния. Технологию расположения транзисторов называют микроархитектурой или просто архитектурой. В этой статье мы рассмотрим какие архитектуры процессора Intel использовались на протяжении развития компании и чем они отличаются друг от друга. Начнем с самых древних микроархитектур и рассмотрим весь путь до новых процессоров и планов на будущее.

Как я уже сказал, в этой статье мы не будем рассматривать разрядность процессоров. Под словом архитектура мы будем понимать микроархитектуру микросхемы, расположение транзисторов на печатной плате, их размер, расстояние, технологический процесс, все это охватывается этим понятием. Наборы инструкций RISC и CISC тоже трогать не будем.

Второе, на что нужно обратить внимание, это поколения процессора Intel. Наверное, вы уже много раз слышали - этот процессор пятого поколения, тот четвертого, а это седьмого. Многие думают что это обозначается i3, i5, i7. Но на самом деле нет i3, и так далее - это марки процессора. А поколение зависит от используемой архитектуры.

С каждым новым поколением улучшалась архитектура, процессоры становились быстрее, экономнее и меньше, они выделяли меньше тепла, но вместе с тем стоили дороже. В интернете мало статей, которые бы описывали все это полностью. А теперь рассмотрим с чего все начиналось.

Архитектуры процессора Intel

Сразу говорю, что вам не стоит ждать от статьи технических подробностей, мы рассмотрим только базовые отличия, которые будут интересны обычным пользователям.

Первые процессоры

Сначала кратко окунемся в историю чтобы понять с чего все началось. Не будем углубятся далеко и начнем с 32-битных процессоров. Первым был Intel 80386, он появился в 1986 году и мог работать на частоте до 40 МГц. Старые процессоры имели тоже отсчет поколений. Этот процессор относиться к третьему поколению, и тут использовался техпроцесс 1500 нм.

Следующим, четвертым поколением был 80486. Используемая в нем архитектура так и называлась 486. Процессор работал на частоте 50 МГц и мог выполнять 40 миллионов команд в секунду. Процессор имел 8 кб кэша первого уровня, а для изготовления использовался техпроцесс 1000 нм.

Следующей архитектурой была P5 или Pentium. Эти процессоры появились в 1993 году, здесь был увеличен кэш до 32 кб, частота до 60 МГц, а техпроцесс уменьшен до 800 нм. В шестом поколении P6 размер кэша составлял 32 кб, а частота достигла 450 МГц. Тех процесс был уменьшен до 180 нм.

Дальше компания начала выпускать процессоры на архитектуре NetBurst. Здесь использовалось 16 кб кэша первого уровня на каждое ядро, и до 2 Мб кэша второго уровня. Частота выросла до 3 ГГц, а техпроцесс остался на том же уровне - 180 нм. Уже здесь появились 64 битные процессоры, которые поддерживали адресацию большего количества памяти. Также было внесено множество расширений команд, а также добавлена технология Hyper-Threading, которая позволяла создавать два потока из одного ядра, что повышало производительность.

Естественно, каждая архитектура улучшалась со временем, увеличивалась частота и уменьшался техпроцесс. Также существовали и промежуточные архитектуры, но здесь все было немного упрощено, поскольку это не является нашей основной темой.

Intel Core

На смену NetBurst в 2006 году пришла архитектура Intel Core. Одной из причин разработки этой архитектуры была невозможность увеличения частоты в NetBrust, а также ее очень большое тепловыделение. Эта архитектура была рассчитана на разработку многоядерных процессоров, размер кэша первого уровня был увеличен до 64 Кб. Частота осталась на уровне 3 ГГц, но зато была сильно снижена потребляемая мощность, а также техпроцесс, до 60 нм.

Процессоры на архитектуре Core поддерживали аппаратную виртуализацию Intel-VT, а также некоторые расширения команд, но не поддерживали Hyper-Threading, поскольку были разработаны на основе архитектуры P6, где такой возможности еще не было.

Первое поколение - Nehalem

Дальше нумерация поколений была начата сначала, потому что все следующие архитектуры - это улучшенные версии Intel Core. Архитектура Nehalem пришла на смену Core, у которой были некоторые ограничения, такие как невозможность увеличить тактовую частоту. Она появилась в 2007 году. Здесь используется 45 нм тех процесс и была добавлена поддержка технологии Hyper-Therading.

Процессоры Nehalem имеют размер L1 кэша 64 Кб, 4 Мб L2 кэша и 12 Мб кєша L3. Кэш доступен для всех ядер процессора. Также появилась возможность встраивать графический ускоритель в процессор. Частота не изменилась, зато выросла производительность и размер печатной платы.

Второе поколение - Sandy Bridge

Sandy Bridge появилась в 2011 году для замены Nehalem. Здесь уже используется техпроцесс 32 нм, здесь используется столько же кэша первого уровня, 256 Мб кэша второго уровня и 8 Мб кэша третьего уровня. В экспериментальных моделях использовалось до 15 Мб общего кэша.

Также теперь все устройства выпускаются со встроенным графическим ускорителем. Была увеличена максимальная частота, а также общая производительность.

Третье поколение - Ivy Bridge

Процессоры Ivy Bridge работают быстрее чем Sandy Bridge, а для их изготовления используется техпроцесс 22 нм. Они потребляют на 50% меньше энергии чем предыдущие модели, а также дают на 25-60% высшую производительность. Также процессоры поддерживают технологию Intel Quick Sync, которая позволяет кодировать видео в несколько раз быстрее.

Четвертое поколение - Haswell

Поколение процессора Intel Haswell было разработано в 2012 году. Здесь использовался тот же техпроцесс - 22 нм, изменен дизайн кэша, улучшены механизмы энергопотребления и немного производительность. Но зато процессор поддерживает множество новых разъемов: LGA 1150, BGA 1364, LGA 2011-3, технологии DDR4 и так далее. Основное преимущество Haswell в том, что она может использоваться в портативных устройствах из-за очень низкого энергопотребления.

Пятое поколение - Broadwell

Это улучшенная версия архитектуры Haswell, которая использует техпроцесс 14 нм. Кроме того, в архитектуру было внесено несколько улучшений, которые позволили повысить производительность в среднем на 5%.

Шестое поколение - Skylake

Следующая архитектура процессоров intel core - шестое поколение Skylake вышла в 2015 году. Это одно из самых значительных обновлений архитектуры Core. Для установки процессора на материнскую плату используется сокет LGA 1151, теперь поддерживается память DDR4, но сохранилась поддержка DDR3. Поддерживается Thunderbolt 3.0, а также шина DMI 3.0, которая дает в два раза большую скорость. И уже по традиции была увеличенная производительность, а также снижено энергопотребление.

Седьмое поколение - Kaby Lake

Новое, седьмое поколение Core - Kaby Lake вышло в этом году, первые процессоры появились в середине января. Здесь было не так много изменений. Сохранен техпроцесс 14 нм, а также тот же сокет LGA 1151. Поддерживаются планки памяти DDR3L SDRAM и DDR4 SDRAM, шины PCI Express 3.0, USB 3.1. Кроме того, была немного увеличена частота, а также уменьшена плотность расположения транзисторов. Максимальная частота 4,2 ГГц.

Выводы

В этой статье мы рассмотрели архитектуры процессора Intel, которые использовались раньше, а также те, которые применяются сейчас. Дальше компания планирует переход на техпроцесс 10 нм и это поколение процессоров intel будет называться CanonLake. Но пока что Intel к этому не готова.

Поэтому в 2017 планируется еще выпустить улучшенную версию SkyLake под кодовым именем Coffe Lake. Также, возможно, будут и другие микроархитектуры процессора Intel пока компания полностью освоит новый техпроцесс. Но обо всем этом мы узнаем со временем. Надеюсь, эта информация была вам полезной.

Об авторе

Основатель и администратор сайта сайт, увлекаюсь открытым программным обеспечением и операционной системой Linux. В качестве основной ОС сейчас использую Ubuntu. Кроме Linux интересуюсь всем, что связано с информационными технологиями и современной наукой.



Понравилась статья? Поделиться с друзьями: